aboutsummaryrefslogtreecommitdiff
path: root/img/data/cylinder2d_optimized_grid_n11_60s_2.csv
diff options
context:
space:
mode:
Diffstat (limited to 'img/data/cylinder2d_optimized_grid_n11_60s_2.csv')
-rw-r--r--img/data/cylinder2d_optimized_grid_n11_60s_2.csv1002
1 files changed, 1002 insertions, 0 deletions
diff --git a/img/data/cylinder2d_optimized_grid_n11_60s_2.csv b/img/data/cylinder2d_optimized_grid_n11_60s_2.csv
new file mode 100644
index 0000000..31cceea
--- /dev/null
+++ b/img/data/cylinder2d_optimized_grid_n11_60s_2.csv
@@ -0,0 +1,1002 @@
+"geometry","physVelocity:0","physVelocity:1","physPressure","knudsen","Points:0","Points:1","Points:2"
+2.00000000e+00,1.41647831e-02,-2.28597340e-03,-3.74514274e-02,9.24313557e-04,2.15454998e+01,0.00000000e+00,0.00000000e+00
+2.00000000e+00,0.00000000e+00,0.00000000e+00,2.79858056e-02,1.75358297e-03,2.15909538e+01,0.00000000e+00,0.00000000e+00
+1.00000000e+00,3.78144719e-02,-6.01322914e-04,-1.93855911e-02,1.14735297e-03,2.15454998e+01,4.54544984e-02,0.00000000e+00
+1.00000000e+00,3.42857838e-02,4.16924059e-03,1.22232072e-03,1.70170271e-03,2.15909538e+01,4.54544984e-02,0.00000000e+00
+2.00000000e+00,0.00000000e+00,0.00000000e+00,-1.53885363e-02,1.22071221e-03,2.16364098e+01,0.00000000e+00,0.00000000e+00
+1.00000000e+00,3.07664946e-02,1.58526609e-03,-1.78762767e-02,1.24621904e-03,2.16364098e+01,4.54544984e-02,0.00000000e+00
+2.00000000e+00,0.00000000e+00,0.00000000e+00,-1.14848111e-02,8.05904914e-04,2.16818638e+01,0.00000000e+00,0.00000000e+00
+1.00000000e+00,3.02169602e-02,-3.14644694e-06,-6.81245374e-03,1.07396243e-03,2.16818638e+01,4.54544984e-02,0.00000000e+00
+2.00000000e+00,0.00000000e+00,0.00000000e+00,-3.30018374e-04,1.22654147e-03,2.17273178e+01,0.00000000e+00,0.00000000e+00
+1.00000000e+00,3.13333385e-02,-1.37748301e-03,-2.09032348e-03,1.44854153e-03,2.17273178e+01,4.54544984e-02,0.00000000e+00
+2.00000000e+00,0.00000000e+00,0.00000000e+00,-5.29894233e-03,8.33089813e-04,2.17727718e+01,0.00000000e+00,0.00000000e+00
+1.00000000e+00,3.12044304e-02,1.13834045e-03,-3.52487620e-03,1.07858283e-03,2.17727718e+01,4.54544984e-02,0.00000000e+00
+2.00000000e+00,0.00000000e+00,0.00000000e+00,-3.66764353e-03,1.04783382e-03,2.18182278e+01,0.00000000e+00,0.00000000e+00
+1.00000000e+00,3.05008758e-02,-4.11623798e-04,-4.83396696e-03,1.24425988e-03,2.18182278e+01,4.54544984e-02,0.00000000e+00
+2.00000000e+00,0.00000000e+00,0.00000000e+00,-1.15029805e-03,9.55516065e-04,2.18636818e+01,0.00000000e+00,0.00000000e+00
+1.00000000e+00,3.10654938e-02,-2.16523680e-04,-2.01630383e-03,1.16988737e-03,2.18636818e+01,4.54544984e-02,0.00000000e+00
+2.00000000e+00,0.00000000e+00,0.00000000e+00,-1.34491955e-03,9.99599695e-04,2.19091358e+01,0.00000000e+00,0.00000000e+00
+1.00000000e+00,3.14644314e-02,-1.03738552e-04,9.24841370e-05,1.22524530e-03,2.19091358e+01,4.54544984e-02,0.00000000e+00
+2.00000000e+00,0.00000000e+00,0.00000000e+00,-2.82552326e-04,9.58298158e-04,2.19545898e+01,0.00000000e+00,0.00000000e+00
+1.00000000e+00,3.15604098e-02,-2.57229622e-05,-2.63367372e-04,1.17142720e-03,2.19545898e+01,4.54544984e-02,0.00000000e+00
+2.00000000e+00,0.00000000e+00,0.00000000e+00,3.57850404e-05,1.05797523e-03,2.20000458e+01,0.00000000e+00,0.00000000e+00
+4.00000000e+00,3.18329670e-02,0.00000000e+00,0.00000000e+00,3.84110585e-03,2.20000458e+01,4.54544984e-02,0.00000000e+00
+1.00000000e+00,6.78846017e-02,1.28334109e-03,-6.86306134e-03,1.71243469e-03,2.15454998e+01,9.09089968e-02,0.00000000e+00
+1.00000000e+00,6.58617169e-02,2.11305614e-03,-2.01717410e-02,1.37140916e-03,2.15909538e+01,9.09089968e-02,0.00000000e+00
+1.00000000e+00,6.68330044e-02,5.47406822e-03,-2.94959289e-03,8.77396611e-04,2.16364098e+01,9.09089968e-02,0.00000000e+00
+1.00000000e+00,6.70332238e-02,-7.79702154e-04,-5.10322105e-04,1.31890341e-03,2.16818638e+01,9.09089968e-02,0.00000000e+00
+1.00000000e+00,6.44049495e-02,-2.49138597e-04,-1.00459969e-02,1.44527224e-03,2.17273178e+01,9.09089968e-02,0.00000000e+00
+1.00000000e+00,6.48913234e-02,6.00134372e-04,-4.51417686e-03,1.27921323e-03,2.17727718e+01,9.09089968e-02,0.00000000e+00
+1.00000000e+00,6.61498085e-02,-8.18346394e-04,-1.16406858e-03,1.34209462e-03,2.18182278e+01,9.09089968e-02,0.00000000e+00
+1.00000000e+00,6.60911351e-02,-2.05146906e-04,-2.18747300e-03,1.26981130e-03,2.18636818e+01,9.09089968e-02,0.00000000e+00
+1.00000000e+00,6.58566356e-02,-3.45638167e-04,-1.78991619e-03,1.35208922e-03,2.19091358e+01,9.09089968e-02,0.00000000e+00
+1.00000000e+00,6.69156834e-02,-9.49835521e-04,2.19586116e-04,1.30474893e-03,2.19545898e+01,9.09089968e-02,0.00000000e+00
+4.00000000e+00,6.77835420e-02,0.00000000e+00,0.00000000e+00,7.56662246e-03,2.20000458e+01,9.09089968e-02,0.00000000e+00
+1.00000000e+00,1.05609417e-01,3.81369586e-03,-3.15003417e-04,1.78021181e-03,2.15454998e+01,1.36363506e-01,0.00000000e+00
+1.00000000e+00,1.03662729e-01,3.99929471e-03,-1.09791178e-02,1.56418374e-03,2.15909538e+01,1.36363506e-01,0.00000000e+00
+1.00000000e+00,1.03647657e-01,4.65496490e-03,-1.06118747e-03,1.42693613e-03,2.16364098e+01,1.36363506e-01,0.00000000e+00
+1.00000000e+00,1.03921153e-01,-1.09186512e-03,-7.84986839e-03,1.72360195e-03,2.16818638e+01,1.36363506e-01,0.00000000e+00
+1.00000000e+00,1.03602447e-01,9.65402753e-04,-7.54097570e-03,1.42158510e-03,2.17273178e+01,1.36363506e-01,0.00000000e+00
+1.00000000e+00,1.04684003e-01,-1.01668760e-03,-2.37051817e-03,1.45326077e-03,2.17727718e+01,1.36363506e-01,0.00000000e+00
+1.00000000e+00,1.04494721e-01,-5.55510574e-04,-2.05848855e-03,1.54411560e-03,2.18182278e+01,1.36363506e-01,0.00000000e+00
+1.00000000e+00,1.04183160e-01,-1.07843465e-04,-2.74347444e-03,1.42528350e-03,2.18636818e+01,1.36363506e-01,0.00000000e+00
+1.00000000e+00,1.04419418e-01,-9.79506527e-04,-1.74106401e-03,1.43939734e-03,2.19091358e+01,1.36363506e-01,0.00000000e+00
+1.00000000e+00,1.05533905e-01,-2.25682324e-03,2.99937063e-04,1.38847844e-03,2.19545898e+01,1.36363506e-01,0.00000000e+00
+4.00000000e+00,1.06325343e-01,0.00000000e+00,0.00000000e+00,1.16334576e-02,2.20000458e+01,1.36363506e-01,0.00000000e+00
+1.00000000e+00,1.47286177e-01,5.65270940e-03,1.55207852e-03,1.62078778e-03,2.15454998e+01,1.81817994e-01,0.00000000e+00
+1.00000000e+00,1.46999076e-01,6.54065656e-03,-1.20059424e-03,1.62961660e-03,2.15909538e+01,1.81817994e-01,0.00000000e+00
+1.00000000e+00,1.47084922e-01,2.17285426e-03,-1.06266774e-02,1.50872522e-03,2.16364098e+01,1.81817994e-01,0.00000000e+00
+1.00000000e+00,1.47021472e-01,-3.32456664e-04,-9.19058267e-03,1.56150840e-03,2.16818638e+01,1.81817994e-01,0.00000000e+00
+1.00000000e+00,1.47236124e-01,1.14521361e-03,7.33563618e-04,1.43268576e-03,2.17273178e+01,1.81817994e-01,0.00000000e+00
+1.00000000e+00,1.46503866e-01,-9.68785142e-04,-3.67506780e-03,1.64072739e-03,2.17727718e+01,1.81817994e-01,0.00000000e+00
+1.00000000e+00,1.45344809e-01,-4.11021101e-05,-5.91315981e-03,1.52532675e-03,2.18182278e+01,1.81817994e-01,0.00000000e+00
+1.00000000e+00,1.45939946e-01,-5.73397498e-04,-1.41328981e-03,1.49230193e-03,2.18636818e+01,1.81817994e-01,0.00000000e+00
+1.00000000e+00,1.46312729e-01,-1.21898390e-03,-2.08092915e-05,1.47912011e-03,2.19091358e+01,1.81817994e-01,0.00000000e+00
+1.00000000e+00,1.46272659e-01,-3.00687551e-03,-8.25087074e-04,1.39740005e-03,2.19545898e+01,1.81817994e-01,0.00000000e+00
+4.00000000e+00,1.46623120e-01,0.00000000e+00,0.00000000e+00,1.58981923e-02,2.20000458e+01,1.81817994e-01,0.00000000e+00
+1.00000000e+00,1.89672291e-01,5.10213431e-03,-1.10161852e-03,1.61160098e-03,2.15454998e+01,2.27272496e-01,0.00000000e+00
+1.00000000e+00,1.91793233e-01,5.70700970e-03,-4.59439028e-03,1.61209318e-03,2.15909538e+01,2.27272496e-01,0.00000000e+00
+1.00000000e+00,1.92273840e-01,2.48121959e-03,-6.85531832e-03,1.47385697e-03,2.16364098e+01,2.27272496e-01,0.00000000e+00
+1.00000000e+00,1.90618157e-01,1.53784174e-03,-3.36310361e-03,1.58820057e-03,2.16818638e+01,2.27272496e-01,0.00000000e+00
+1.00000000e+00,1.89938605e-01,5.00952068e-04,-3.99051188e-03,1.63350697e-03,2.17273178e+01,2.27272496e-01,0.00000000e+00
+1.00000000e+00,1.89595073e-01,-1.29816006e-04,-5.73471189e-03,1.60775869e-03,2.17727718e+01,2.27272496e-01,0.00000000e+00
+1.00000000e+00,1.89491943e-01,2.78661697e-04,-2.41506216e-03,1.52032706e-03,2.18182278e+01,2.27272496e-01,0.00000000e+00
+1.00000000e+00,1.89227745e-01,-6.77406264e-04,-1.17412745e-03,1.58573792e-03,2.18636818e+01,2.27272496e-01,0.00000000e+00
+1.00000000e+00,1.88577577e-01,-1.04543869e-03,-1.48978643e-03,1.56245660e-03,2.19091358e+01,2.27272496e-01,0.00000000e+00
+1.00000000e+00,1.88747764e-01,-3.65062081e-03,-6.90316549e-04,1.41099910e-03,2.19545898e+01,2.27272496e-01,0.00000000e+00
+4.00000000e+00,1.89788923e-01,0.00000000e+00,0.00000000e+00,2.04973482e-02,2.20000458e+01,2.27272496e-01,0.00000000e+00
+1.00000000e+00,2.32621461e-01,3.69129702e-03,-5.30736661e-03,1.58889545e-03,2.15454998e+01,2.72727013e-01,0.00000000e+00
+1.00000000e+00,2.34113052e-01,3.74196703e-03,-7.68986810e-03,1.52313220e-03,2.15909538e+01,2.72727013e-01,0.00000000e+00
+1.00000000e+00,2.34662578e-01,3.57807754e-03,-2.38089426e-03,1.42068195e-03,2.16364098e+01,2.72727013e-01,0.00000000e+00
+1.00000000e+00,2.34615043e-01,2.15630420e-03,-3.18829482e-03,1.57330930e-03,2.16818638e+01,2.72727013e-01,0.00000000e+00
+1.00000000e+00,2.34175488e-01,5.27513388e-04,-7.85470381e-03,1.55355898e-03,2.17273178e+01,2.72727013e-01,0.00000000e+00
+1.00000000e+00,2.33638868e-01,6.92838395e-04,-3.00406059e-03,1.50873733e-03,2.17727718e+01,2.72727013e-01,0.00000000e+00
+1.00000000e+00,2.33417228e-01,4.62205033e-04,-4.45168960e-04,1.57079182e-03,2.18182278e+01,2.72727013e-01,0.00000000e+00
+1.00000000e+00,2.32639477e-01,-6.19254206e-05,-3.46282520e-03,1.58613152e-03,2.18636818e+01,2.72727013e-01,0.00000000e+00
+1.00000000e+00,2.32123867e-01,-7.35655951e-04,-2.24545179e-03,1.48888689e-03,2.19091358e+01,2.72727013e-01,0.00000000e+00
+1.00000000e+00,2.32303813e-01,-4.34343796e-03,8.88909330e-04,1.35904131e-03,2.19545898e+01,2.72727013e-01,0.00000000e+00
+4.00000000e+00,2.33174890e-01,0.00000000e+00,0.00000000e+00,2.51757260e-02,2.20000458e+01,2.72727013e-01,0.00000000e+00
+1.00000000e+00,2.74934113e-01,2.31703836e-03,-7.98070990e-03,1.56893721e-03,2.15454998e+01,3.18181515e-01,0.00000000e+00
+1.00000000e+00,2.75767446e-01,3.01410654e-03,-4.73241368e-03,1.52782036e-03,2.15909538e+01,3.18181515e-01,0.00000000e+00
+1.00000000e+00,2.76752591e-01,2.90941400e-03,-4.77564521e-03,1.49386888e-03,2.16364098e+01,3.18181515e-01,0.00000000e+00
+1.00000000e+00,2.77327269e-01,1.72005943e-03,-5.68315294e-03,1.50606479e-03,2.16818638e+01,3.18181515e-01,0.00000000e+00
+1.00000000e+00,2.77121723e-01,1.62892067e-03,-3.52940545e-03,1.47756538e-03,2.17273178e+01,3.18181515e-01,0.00000000e+00
+1.00000000e+00,2.76558131e-01,1.11754262e-03,-2.33021425e-03,1.54365983e-03,2.17727718e+01,3.18181515e-01,0.00000000e+00
+1.00000000e+00,2.76181191e-01,4.97073750e-04,-3.93011188e-03,1.57503283e-03,2.18182278e+01,3.18181515e-01,0.00000000e+00
+1.00000000e+00,2.75945008e-01,5.47838921e-04,-2.51325779e-03,1.50794035e-03,2.18636818e+01,3.18181515e-01,0.00000000e+00
+1.00000000e+00,2.75886089e-01,-4.02413076e-04,-6.22042920e-04,1.48255308e-03,2.19091358e+01,3.18181515e-01,0.00000000e+00
+1.00000000e+00,2.75298476e-01,-4.74169478e-03,-2.66240124e-04,1.31804054e-03,2.19545898e+01,3.18181515e-01,0.00000000e+00
+4.00000000e+00,2.76109785e-01,0.00000000e+00,0.00000000e+00,2.98509654e-02,2.20000458e+01,3.18181515e-01,0.00000000e+00
+1.00000000e+00,3.16527575e-01,1.17856858e-03,-9.30863339e-03,1.52626180e-03,2.15454998e+01,3.63635987e-01,0.00000000e+00
+1.00000000e+00,3.17163378e-01,2.23669852e-03,-3.73853953e-03,1.52939104e-03,2.15909538e+01,3.63635987e-01,0.00000000e+00
+1.00000000e+00,3.18142712e-01,1.83114072e-03,-6.54582540e-03,1.45784055e-03,2.16364098e+01,3.63635987e-01,0.00000000e+00
+1.00000000e+00,3.18310082e-01,1.72751630e-03,-5.20855282e-03,1.42838934e-03,2.16818638e+01,3.63635987e-01,0.00000000e+00
+1.00000000e+00,3.18506122e-01,1.92872039e-03,-1.82441575e-03,1.44381775e-03,2.17273178e+01,3.63635987e-01,0.00000000e+00
+1.00000000e+00,3.18555951e-01,1.08771026e-03,-4.12607146e-03,1.48776395e-03,2.17727718e+01,3.63635987e-01,0.00000000e+00
+1.00000000e+00,3.18351597e-01,8.80733947e-04,-4.21444839e-03,1.44928088e-03,2.18182278e+01,3.63635987e-01,0.00000000e+00
+1.00000000e+00,3.18175107e-01,8.36641528e-04,-8.08163139e-04,1.46608450e-03,2.18636818e+01,3.63635987e-01,0.00000000e+00
+1.00000000e+00,3.18020076e-01,-1.05209518e-04,-8.59709515e-04,1.48215576e-03,2.19091358e+01,3.63635987e-01,0.00000000e+00
+1.00000000e+00,3.17386448e-01,-4.88094194e-03,-1.42679061e-03,1.19005959e-03,2.19545898e+01,3.63635987e-01,0.00000000e+00
+4.00000000e+00,3.18793178e-01,0.00000000e+00,0.00000000e+00,3.45134996e-02,2.20000458e+01,3.63635987e-01,0.00000000e+00
+1.00000000e+00,3.57126087e-01,9.93121183e-04,-7.65879313e-03,1.43683387e-03,2.15454998e+01,4.09090489e-01,0.00000000e+00
+1.00000000e+00,3.57492775e-01,1.25434250e-03,-5.98663278e-03,1.44180330e-03,2.15909538e+01,4.09090489e-01,0.00000000e+00
+1.00000000e+00,3.57973337e-01,1.48503995e-03,-4.49465727e-03,1.40464131e-03,2.16364098e+01,4.09090489e-01,0.00000000e+00
+1.00000000e+00,3.58045042e-01,1.86779408e-03,-3.87102691e-03,1.40604458e-03,2.16818638e+01,4.09090489e-01,0.00000000e+00
+1.00000000e+00,3.58421504e-01,1.27468805e-03,-4.83034318e-03,1.42270664e-03,2.17273178e+01,4.09090489e-01,0.00000000e+00
+1.00000000e+00,3.58678579e-01,1.09029096e-03,-3.87788145e-03,1.40081043e-03,2.17727718e+01,4.09090489e-01,0.00000000e+00
+1.00000000e+00,3.58846188e-01,1.19192654e-03,-1.60488277e-03,1.40583364e-03,2.18182278e+01,4.09090489e-01,0.00000000e+00
+1.00000000e+00,3.58768284e-01,7.65619799e-04,-2.24482687e-03,1.45879143e-03,2.18636818e+01,4.09090489e-01,0.00000000e+00
+1.00000000e+00,3.58686000e-01,1.77641385e-04,-2.13137199e-03,1.41126267e-03,2.19091358e+01,4.09090489e-01,0.00000000e+00
+1.00000000e+00,3.58345598e-01,-5.02931792e-03,4.50444859e-05,1.08647882e-03,2.19545898e+01,4.09090489e-01,0.00000000e+00
+4.00000000e+00,3.59967232e-01,0.00000000e+00,0.00000000e+00,3.91002633e-02,2.20000458e+01,4.09090489e-01,0.00000000e+00
+1.00000000e+00,3.96109194e-01,1.10346824e-03,-5.40472101e-03,1.34967500e-03,2.15454998e+01,4.54544991e-01,0.00000000e+00
+1.00000000e+00,3.95814061e-01,9.85162682e-04,-6.87238295e-03,1.35525863e-03,2.15909538e+01,4.54544991e-01,0.00000000e+00
+1.00000000e+00,3.95954281e-01,1.24754291e-03,-4.39210190e-03,1.36031630e-03,2.16364098e+01,4.54544991e-01,0.00000000e+00
+1.00000000e+00,3.96554649e-01,1.37080427e-03,-4.28994792e-03,1.36388128e-03,2.16818638e+01,4.54544991e-01,0.00000000e+00
+1.00000000e+00,3.97003680e-01,8.95153731e-04,-5.11900568e-03,1.34062488e-03,2.17273178e+01,4.54544991e-01,0.00000000e+00
+1.00000000e+00,3.97158921e-01,1.06313312e-03,-2.81079579e-03,1.34397310e-03,2.17727718e+01,4.54544991e-01,0.00000000e+00
+1.00000000e+00,3.97538573e-01,8.55638355e-04,-1.97989168e-03,1.37284514e-03,2.18182278e+01,4.54544991e-01,0.00000000e+00
+1.00000000e+00,3.97757947e-01,6.31935603e-04,-3.00389528e-03,1.37041090e-03,2.18636818e+01,4.54544991e-01,0.00000000e+00
+1.00000000e+00,3.98010522e-01,4.18224285e-04,-1.65112060e-03,1.33154739e-03,2.19091358e+01,4.54544991e-01,0.00000000e+00
+1.00000000e+00,3.97662491e-01,-5.35743404e-03,2.77858402e-04,1.00288121e-03,2.19545898e+01,4.54544991e-01,0.00000000e+00
+4.00000000e+00,3.99392962e-01,0.00000000e+00,0.00000000e+00,4.35342789e-02,2.20000458e+01,4.54544991e-01,0.00000000e+00
+1.00000000e+00,4.32575077e-01,1.10930472e-03,-4.57584718e-03,1.28026318e-03,2.15454998e+01,4.99999493e-01,0.00000000e+00
+1.00000000e+00,4.32588100e-01,1.14157074e-03,-5.66404825e-03,1.28436298e-03,2.15909538e+01,4.99999493e-01,0.00000000e+00
+1.00000000e+00,4.32831496e-01,8.12494021e-04,-5.90409432e-03,1.30258664e-03,2.16364098e+01,4.99999493e-01,0.00000000e+00
+1.00000000e+00,4.33279157e-01,6.68779598e-04,-4.96028038e-03,1.29697449e-03,2.16818638e+01,4.99999493e-01,0.00000000e+00
+1.00000000e+00,4.33716655e-01,7.95256812e-04,-3.18517396e-03,1.28769886e-03,2.17273178e+01,4.99999493e-01,0.00000000e+00
+1.00000000e+00,4.34106529e-01,6.48476940e-04,-3.27865686e-03,1.31851609e-03,2.17727718e+01,4.99999493e-01,0.00000000e+00
+1.00000000e+00,4.34562832e-01,3.14005592e-04,-3.65232001e-03,1.30462577e-03,2.18182278e+01,4.99999493e-01,0.00000000e+00
+1.00000000e+00,4.34922248e-01,4.66041500e-04,-1.67908520e-03,1.30380818e-03,2.18636818e+01,4.99999493e-01,0.00000000e+00
+1.00000000e+00,4.35375363e-01,3.65035987e-04,-9.10981675e-04,1.31827965e-03,2.19091358e+01,4.99999493e-01,0.00000000e+00
+1.00000000e+00,4.35040355e-01,-5.76499291e-03,-1.12271879e-03,9.10714210e-04,2.19545898e+01,4.99999493e-01,0.00000000e+00
+4.00000000e+00,4.37188447e-01,0.00000000e+00,0.00000000e+00,4.78166342e-02,2.20000458e+01,4.99999493e-01,0.00000000e+00
+1.00000000e+00,4.67091918e-01,9.57096461e-04,-4.57213540e-03,1.23674097e-03,2.15454998e+01,5.45454025e-01,0.00000000e+00
+1.00000000e+00,4.67669636e-01,8.54937709e-04,-5.38244518e-03,1.22507522e-03,2.15909538e+01,5.45454025e-01,0.00000000e+00
+1.00000000e+00,4.68056411e-01,5.07367251e-04,-5.76018309e-03,1.22543157e-03,2.16364098e+01,5.45454025e-01,0.00000000e+00
+1.00000000e+00,4.68339741e-01,2.50078563e-04,-4.75779828e-03,1.23763771e-03,2.16818638e+01,5.45454025e-01,0.00000000e+00
+1.00000000e+00,4.68856603e-01,3.12016375e-04,-3.46059259e-03,1.24067417e-03,2.17273178e+01,5.45454025e-01,0.00000000e+00
+1.00000000e+00,4.69409615e-01,4.63388351e-05,-3.70289898e-03,1.24608050e-03,2.17727718e+01,5.45454025e-01,0.00000000e+00
+1.00000000e+00,4.69901621e-01,7.49625087e-06,-3.04777408e-03,1.22436124e-03,2.18182278e+01,5.45454025e-01,0.00000000e+00
+1.00000000e+00,4.70321149e-01,1.10499368e-05,-1.59917737e-03,1.25245086e-03,2.18636818e+01,5.45454025e-01,0.00000000e+00
+1.00000000e+00,4.70843226e-01,7.11095854e-05,-1.45455555e-03,1.27231970e-03,2.19091358e+01,5.45454025e-01,0.00000000e+00
+1.00000000e+00,4.70723242e-01,-6.06293231e-03,-8.87472299e-04,7.91252474e-04,2.19545898e+01,5.45454025e-01,0.00000000e+00
+4.00000000e+00,4.73166913e-01,0.00000000e+00,0.00000000e+00,5.19474149e-02,2.20000458e+01,5.45454025e-01,0.00000000e+00
+1.00000000e+00,4.99977916e-01,3.37482605e-04,-5.87078510e-03,1.17039750e-03,2.15454998e+01,5.90908527e-01,0.00000000e+00
+1.00000000e+00,5.00717938e-01,2.24053074e-04,-6.01262739e-03,1.15422462e-03,2.15909538e+01,5.90908527e-01,0.00000000e+00
+1.00000000e+00,5.01275897e-01,1.95450353e-04,-4.64256946e-03,1.14315655e-03,2.16364098e+01,5.90908527e-01,0.00000000e+00
+1.00000000e+00,5.01677215e-01,-1.16015552e-04,-4.46421606e-03,1.17482385e-03,2.16818638e+01,5.90908527e-01,0.00000000e+00
+1.00000000e+00,5.02235711e-01,-3.50567978e-04,-4.70865704e-03,1.16918830e-03,2.17273178e+01,5.90908527e-01,0.00000000e+00
+1.00000000e+00,5.02731681e-01,-4.52227978e-04,-3.32445884e-03,1.16950634e-03,2.17727718e+01,5.90908527e-01,0.00000000e+00
+1.00000000e+00,5.03330946e-01,-4.09313536e-04,-2.05678958e-03,1.17805379e-03,2.18182278e+01,5.90908527e-01,0.00000000e+00
+1.00000000e+00,5.03876925e-01,-6.70848822e-04,-2.53401417e-03,1.19384646e-03,2.18636818e+01,5.90908527e-01,0.00000000e+00
+1.00000000e+00,5.04498661e-01,-2.72734178e-04,-1.88620086e-03,1.20803807e-03,2.19091358e+01,5.90908527e-01,0.00000000e+00
+1.00000000e+00,5.04598677e-01,-6.49055140e-03,-5.55398719e-06,7.04540696e-04,2.19545898e+01,5.90908527e-01,0.00000000e+00
+4.00000000e+00,5.07221460e-01,0.00000000e+00,0.00000000e+00,5.59185594e-02,2.20000458e+01,5.90908527e-01,0.00000000e+00
+1.00000000e+00,5.31081080e-01,-3.82361992e-04,-7.26951472e-03,1.09658018e-03,2.15454998e+01,6.36363029e-01,0.00000000e+00
+1.00000000e+00,5.31634092e-01,-4.05953819e-04,-5.98347839e-03,1.09772035e-03,2.15909538e+01,6.36363029e-01,0.00000000e+00
+1.00000000e+00,5.32358348e-01,-4.35747672e-04,-5.00850519e-03,1.08623493e-03,2.16364098e+01,6.36363029e-01,0.00000000e+00
+1.00000000e+00,5.33011317e-01,-6.90700894e-04,-4.62469831e-03,1.09757204e-03,2.16818638e+01,6.36363029e-01,0.00000000e+00
+1.00000000e+00,5.33631802e-01,-8.74213234e-04,-4.22796048e-03,1.09089387e-03,2.17273178e+01,6.36363029e-01,0.00000000e+00
+1.00000000e+00,5.34152925e-01,-9.88863641e-04,-3.27498699e-03,1.10416731e-03,2.17727718e+01,6.36363029e-01,0.00000000e+00
+1.00000000e+00,5.34872651e-01,-1.13317650e-03,-2.68925563e-03,1.11174094e-03,2.18182278e+01,6.36363029e-01,0.00000000e+00
+1.00000000e+00,5.35528898e-01,-1.31525029e-03,-2.28534476e-03,1.10633415e-03,2.18636818e+01,6.36363029e-01,0.00000000e+00
+1.00000000e+00,5.36278963e-01,-6.89726090e-04,-1.44454767e-03,1.15989207e-03,2.19091358e+01,6.36363029e-01,0.00000000e+00
+1.00000000e+00,5.36499918e-01,-7.17689330e-03,-5.10617858e-04,6.16808305e-04,2.19545898e+01,6.36363029e-01,0.00000000e+00
+4.00000000e+00,5.39408684e-01,0.00000000e+00,0.00000000e+00,5.96964285e-02,2.20000458e+01,6.36363029e-01,0.00000000e+00
+1.00000000e+00,5.60404301e-01,-8.87156057e-04,-7.51040410e-03,1.02109497e-03,2.15454998e+01,6.81817472e-01,0.00000000e+00
+1.00000000e+00,5.60860753e-01,-9.89935128e-04,-5.62766660e-03,1.03209470e-03,2.15909538e+01,6.81817472e-01,0.00000000e+00
+1.00000000e+00,5.61596334e-01,-1.21890800e-03,-5.71810966e-03,1.02782005e-03,2.16364098e+01,6.81817472e-01,0.00000000e+00
+1.00000000e+00,5.62230110e-01,-1.35951245e-03,-4.83028404e-03,1.02070067e-03,2.16818638e+01,6.81817472e-01,0.00000000e+00
+1.00000000e+00,5.62963843e-01,-1.45693764e-03,-3.52976448e-03,1.02767104e-03,2.17273178e+01,6.81817472e-01,0.00000000e+00
+1.00000000e+00,5.63654602e-01,-1.68975384e-03,-3.54205398e-03,1.03910756e-03,2.17727718e+01,6.81817472e-01,0.00000000e+00
+1.00000000e+00,5.64427257e-01,-1.90137397e-03,-3.24798143e-03,1.03507028e-03,2.18182278e+01,6.81817472e-01,0.00000000e+00
+1.00000000e+00,5.65154374e-01,-2.02839193e-03,-1.74935558e-03,1.03255408e-03,2.18636818e+01,6.81817472e-01,0.00000000e+00
+1.00000000e+00,5.66039264e-01,-1.32564153e-03,-1.23777403e-03,1.12393242e-03,2.19091358e+01,6.81817472e-01,0.00000000e+00
+1.00000000e+00,5.66414595e-01,-7.92645849e-03,-1.01868494e-03,5.20483882e-04,2.19545898e+01,6.81817472e-01,0.00000000e+00
+4.00000000e+00,5.69591463e-01,0.00000000e+00,0.00000000e+00,6.32805005e-02,2.20000458e+01,6.81817472e-01,0.00000000e+00
+1.00000000e+00,5.87800980e-01,-1.33058010e-03,-7.23756524e-03,9.43682389e-04,2.15454998e+01,7.27271974e-01,0.00000000e+00
+1.00000000e+00,5.88215172e-01,-1.62760890e-03,-5.98178850e-03,9.52793518e-04,2.15909538e+01,7.27271974e-01,0.00000000e+00
+1.00000000e+00,5.88894248e-01,-1.89905637e-03,-5.38659655e-03,9.56954260e-04,2.16364098e+01,7.27271974e-01,0.00000000e+00
+1.00000000e+00,5.89534044e-01,-2.03001802e-03,-4.75165993e-03,9.52151080e-04,2.16818638e+01,7.27271974e-01,0.00000000e+00
+1.00000000e+00,5.90334654e-01,-2.27498123e-03,-4.10219422e-03,9.62929917e-04,2.17273178e+01,7.27271974e-01,0.00000000e+00
+1.00000000e+00,5.91116369e-01,-2.48660543e-03,-3.49912629e-03,9.58666787e-04,2.17727718e+01,7.27271974e-01,0.00000000e+00
+1.00000000e+00,5.91986358e-01,-2.62888335e-03,-2.71044695e-03,9.66284075e-04,2.18182278e+01,7.27271974e-01,0.00000000e+00
+1.00000000e+00,5.92811346e-01,-2.95337592e-03,-2.08699098e-03,9.64032719e-04,2.18636818e+01,7.27271974e-01,0.00000000e+00
+1.00000000e+00,5.93792260e-01,-2.12825625e-03,-1.57998246e-03,1.06331997e-03,2.19091358e+01,7.27271974e-01,0.00000000e+00
+1.00000000e+00,5.94401836e-01,-8.69499426e-03,-5.39146131e-04,4.23569174e-04,2.19545898e+01,7.27271974e-01,0.00000000e+00
+4.00000000e+00,5.97786188e-01,0.00000000e+00,0.00000000e+00,6.66692257e-02,2.20000458e+01,7.27271974e-01,0.00000000e+00
+1.00000000e+00,6.13098621e-01,-1.73418317e-03,-6.47464395e-03,8.64034402e-04,2.15454998e+01,7.72726476e-01,0.00000000e+00
+1.00000000e+00,6.13592029e-01,-2.22827028e-03,-6.32171426e-03,8.69365060e-04,2.15909538e+01,7.72726476e-01,0.00000000e+00
+1.00000000e+00,6.14216030e-01,-2.52518686e-03,-5.13778487e-03,8.82813532e-04,2.16364098e+01,7.72726476e-01,0.00000000e+00
+1.00000000e+00,6.14884555e-01,-2.76215491e-03,-4.74686641e-03,8.83720350e-04,2.16818638e+01,7.72726476e-01,0.00000000e+00
+1.00000000e+00,6.15727186e-01,-3.12177325e-03,-4.49925847e-03,8.89752642e-04,2.17273178e+01,7.72726476e-01,0.00000000e+00
+1.00000000e+00,6.16546333e-01,-3.33590852e-03,-3.36989411e-03,8.85091606e-04,2.17727718e+01,7.72726476e-01,0.00000000e+00
+1.00000000e+00,6.17515147e-01,-3.49981105e-03,-2.48691812e-03,9.08182119e-04,2.18182278e+01,7.72726476e-01,0.00000000e+00
+1.00000000e+00,6.18445814e-01,-3.97880608e-03,-2.34760344e-03,8.87198315e-04,2.18636818e+01,7.72726476e-01,0.00000000e+00
+1.00000000e+00,6.19524539e-01,-3.00501776e-03,-1.63877103e-03,1.00467983e-03,2.19091358e+01,7.72726476e-01,0.00000000e+00
+1.00000000e+00,6.20340466e-01,-9.69219953e-03,-3.29675619e-04,3.43156455e-04,2.19545898e+01,7.72726476e-01,0.00000000e+00
+4.00000000e+00,6.23957694e-01,0.00000000e+00,0.00000000e+00,6.98465928e-02,2.20000458e+01,7.72726476e-01,0.00000000e+00
+1.00000000e+00,6.36378288e-01,-2.17907876e-03,-5.82511723e-03,7.87975558e-04,2.15454998e+01,8.18180978e-01,0.00000000e+00
+1.00000000e+00,6.36895955e-01,-2.77259247e-03,-6.22461690e-03,7.96942215e-04,2.15909538e+01,8.18180978e-01,0.00000000e+00
+1.00000000e+00,6.37529373e-01,-3.21545685e-03,-5.63143380e-03,8.09242309e-04,2.16364098e+01,8.18180978e-01,0.00000000e+00
+1.00000000e+00,6.38282001e-01,-3.59674380e-03,-4.82850149e-03,8.06902535e-04,2.16818638e+01,8.18180978e-01,0.00000000e+00
+1.00000000e+00,6.39142990e-01,-3.92198749e-03,-4.05224040e-03,8.16833286e-04,2.17273178e+01,8.18180978e-01,0.00000000e+00
+1.00000000e+00,6.39993548e-01,-4.28036740e-03,-3.51655064e-03,8.18500062e-04,2.17727718e+01,8.18180978e-01,0.00000000e+00
+1.00000000e+00,6.41034544e-01,-4.53384873e-03,-2.93789967e-03,8.35729181e-04,2.18182278e+01,8.18180978e-01,0.00000000e+00
+1.00000000e+00,6.42060816e-01,-5.04384749e-03,-2.02819495e-03,8.04653624e-04,2.18636818e+01,8.18180978e-01,0.00000000e+00
+1.00000000e+00,6.43246591e-01,-3.98523780e-03,-1.37164956e-03,9.55527765e-04,2.19091358e+01,8.18180978e-01,0.00000000e+00
+1.00000000e+00,6.44202054e-01,-1.08965551e-02,-6.91708643e-04,2.52067664e-04,2.19545898e+01,8.18180978e-01,0.00000000e+00
+4.00000000e+00,6.48062587e-01,0.00000000e+00,0.00000000e+00,7.27962852e-02,2.20000458e+01,8.18180978e-01,0.00000000e+00
+1.00000000e+00,6.57572865e-01,-2.80481298e-03,-6.11902587e-03,7.24565703e-04,2.15454998e+01,8.63635480e-01,0.00000000e+00
+1.00000000e+00,6.58220530e-01,-3.36859259e-03,-6.05929177e-03,7.23906211e-04,2.15909538e+01,8.63635480e-01,0.00000000e+00
+1.00000000e+00,6.58927500e-01,-3.89509485e-03,-5.71612967e-03,7.32759479e-04,2.16364098e+01,8.63635480e-01,0.00000000e+00
+1.00000000e+00,6.59644485e-01,-4.43248404e-03,-4.91705257e-03,7.34832603e-04,2.16818638e+01,8.63635480e-01,0.00000000e+00
+1.00000000e+00,6.60549939e-01,-4.78269020e-03,-3.99087137e-03,7.48581369e-04,2.17273178e+01,8.63635480e-01,0.00000000e+00
+1.00000000e+00,6.61489069e-01,-5.27802575e-03,-3.58147966e-03,7.47442769e-04,2.17727718e+01,8.63635480e-01,0.00000000e+00
+1.00000000e+00,6.62562191e-01,-5.57114463e-03,-2.98516941e-03,7.63049757e-04,2.18182278e+01,8.63635480e-01,0.00000000e+00
+1.00000000e+00,6.63637340e-01,-6.20975066e-03,-1.95216376e-03,7.31058652e-04,2.18636818e+01,8.63635480e-01,0.00000000e+00
+1.00000000e+00,6.64917469e-01,-5.10299206e-03,-1.36951508e-03,9.03801294e-04,2.19091358e+01,8.63635480e-01,0.00000000e+00
+1.00000000e+00,6.66042030e-01,-1.21741304e-02,-6.89017936e-04,1.57561852e-04,2.19545898e+01,8.63635480e-01,0.00000000e+00
+4.00000000e+00,6.70096874e-01,0.00000000e+00,0.00000000e+00,7.55219534e-02,2.20000458e+01,8.63635480e-01,0.00000000e+00
+1.00000000e+00,6.76954806e-01,-3.45763820e-03,-6.70032622e-03,6.64878637e-04,2.15454998e+01,9.09089983e-01,0.00000000e+00
+1.00000000e+00,6.77634716e-01,-4.10316046e-03,-6.15863223e-03,6.62197825e-04,2.15909538e+01,9.09089983e-01,0.00000000e+00
+1.00000000e+00,6.78388178e-01,-4.60621947e-03,-5.41582005e-03,6.64308202e-04,2.16364098e+01,9.09089983e-01,0.00000000e+00
+1.00000000e+00,6.79143429e-01,-5.27296262e-03,-4.91115404e-03,6.69819710e-04,2.16818638e+01,9.09089983e-01,0.00000000e+00
+1.00000000e+00,6.80061340e-01,-5.75686060e-03,-4.35069669e-03,6.78546086e-04,2.17273178e+01,9.09089983e-01,0.00000000e+00
+1.00000000e+00,6.81014597e-01,-6.31917594e-03,-3.50094400e-03,6.72505412e-04,2.17727718e+01,9.09089983e-01,0.00000000e+00
+1.00000000e+00,6.82140172e-01,-6.63949084e-03,-2.69213086e-03,6.98516669e-04,2.18182278e+01,9.09089983e-01,0.00000000e+00
+1.00000000e+00,6.83272541e-01,-7.48816039e-03,-2.18377425e-03,6.57657802e-04,2.18636818e+01,9.09089983e-01,0.00000000e+00
+1.00000000e+00,6.84608281e-01,-6.32157736e-03,-1.50358025e-03,8.43548100e-04,2.19091358e+01,9.09089983e-01,0.00000000e+00
+1.00000000e+00,6.85901046e-01,-1.35768084e-02,-3.75854608e-04,1.01453355e-04,2.19545898e+01,9.09089983e-01,0.00000000e+00
+4.00000000e+00,6.90140545e-01,0.00000000e+00,0.00000000e+00,7.80284330e-02,2.20000458e+01,9.09089983e-01,0.00000000e+00
+1.00000000e+00,6.94764256e-01,-4.04273113e-03,-7.24145304e-03,5.96977770e-04,2.15454998e+01,9.54544485e-01,0.00000000e+00
+1.00000000e+00,6.95333660e-01,-4.78915079e-03,-6.24589529e-03,5.98956831e-04,2.15909538e+01,9.54544485e-01,0.00000000e+00
+1.00000000e+00,6.96066499e-01,-5.36121661e-03,-5.46831032e-03,6.00678206e-04,2.16364098e+01,9.54544485e-01,0.00000000e+00
+1.00000000e+00,6.96814597e-01,-6.08780468e-03,-4.93956730e-03,6.01700856e-04,2.16818638e+01,9.54544485e-01,0.00000000e+00
+1.00000000e+00,6.97736502e-01,-6.68813754e-03,-4.34115343e-03,6.10384450e-04,2.17273178e+01,9.54544485e-01,0.00000000e+00
+1.00000000e+00,6.98689878e-01,-7.35910796e-03,-3.52243893e-03,6.05286448e-04,2.17727718e+01,9.54544485e-01,0.00000000e+00
+1.00000000e+00,6.99837387e-01,-7.78062968e-03,-2.74986448e-03,6.35389413e-04,2.18182278e+01,9.54544485e-01,0.00000000e+00
+1.00000000e+00,7.01018512e-01,-8.77237599e-03,-2.15303083e-03,5.82485402e-04,2.18636818e+01,9.54544485e-01,0.00000000e+00
+1.00000000e+00,7.02403188e-01,-7.56668439e-03,-1.42324623e-03,7.90490012e-04,2.19091358e+01,9.54544485e-01,0.00000000e+00
+1.00000000e+00,7.03807116e-01,-1.51458625e-02,-3.82075406e-04,1.57995382e-04,2.19545898e+01,9.54544485e-01,0.00000000e+00
+4.00000000e+00,7.08225191e-01,0.00000000e+00,0.00000000e+00,8.03106651e-02,2.20000458e+01,9.54544485e-01,0.00000000e+00
+1.00000000e+00,7.11030781e-01,-4.52286331e-03,-7.58700958e-03,5.31063473e-04,2.15454998e+01,9.99998987e-01,0.00000000e+00
+1.00000000e+00,7.11411476e-01,-5.39461384e-03,-6.28621830e-03,5.40127512e-04,2.15909538e+01,9.99998987e-01,0.00000000e+00
+1.00000000e+00,7.12086260e-01,-6.14619534e-03,-5.71838021e-03,5.41740737e-04,2.16364098e+01,9.99998987e-01,0.00000000e+00
+1.00000000e+00,7.12810397e-01,-6.91871298e-03,-4.97674057e-03,5.35362982e-04,2.16818638e+01,9.99998987e-01,0.00000000e+00
+1.00000000e+00,7.13691592e-01,-7.62210134e-03,-4.16168524e-03,5.49885386e-04,2.17273178e+01,9.99998987e-01,0.00000000e+00
+1.00000000e+00,7.14638412e-01,-8.43640417e-03,-3.62747139e-03,5.43866714e-04,2.17727718e+01,9.99998987e-01,0.00000000e+00
+1.00000000e+00,7.15795159e-01,-8.98483861e-03,-2.92471703e-03,5.71138924e-04,2.18182278e+01,9.99998987e-01,0.00000000e+00
+1.00000000e+00,7.16997981e-01,-1.00957230e-02,-1.97934569e-03,5.12629922e-04,2.18636818e+01,9.99998987e-01,0.00000000e+00
+1.00000000e+00,7.18411446e-01,-8.87340121e-03,-1.30447384e-03,7.45124475e-04,2.19091358e+01,9.99998987e-01,0.00000000e+00
+1.00000000e+00,7.19890237e-01,-1.68396756e-02,-4.78984322e-04,2.64488888e-04,2.19545898e+01,9.99998987e-01,0.00000000e+00
+4.00000000e+00,7.24468946e-01,0.00000000e+00,0.00000000e+00,8.23821351e-02,2.20000458e+01,9.99998987e-01,0.00000000e+00
+1.00000000e+00,7.25826323e-01,-4.79998020e-03,-7.30881328e-03,4.70186846e-04,2.15454998e+01,1.04545355e+00,0.00000000e+00
+1.00000000e+00,7.26102352e-01,-5.86309144e-03,-6.36731926e-03,4.77804773e-04,2.15909538e+01,1.04545355e+00,0.00000000e+00
+1.00000000e+00,7.26627409e-01,-6.77888747e-03,-5.76172769e-03,4.85406315e-04,2.16364098e+01,1.04545355e+00,0.00000000e+00
+1.00000000e+00,7.27249205e-01,-7.67295063e-03,-5.06620761e-03,4.79508599e-04,2.16818638e+01,1.04545355e+00,0.00000000e+00
+1.00000000e+00,7.28105128e-01,-8.53315648e-03,-4.26333584e-03,4.92624065e-04,2.17273178e+01,1.04545355e+00,0.00000000e+00
+1.00000000e+00,7.29042530e-01,-9.46190301e-03,-3.58900172e-03,4.82863688e-04,2.17727718e+01,1.04545355e+00,0.00000000e+00
+1.00000000e+00,7.30154276e-01,-1.01225851e-02,-2.85193487e-03,5.13553154e-04,2.18182278e+01,1.04545355e+00,0.00000000e+00
+1.00000000e+00,7.31341302e-01,-1.14288563e-02,-2.04326934e-03,4.50165564e-04,2.18636818e+01,1.04545355e+00,0.00000000e+00
+1.00000000e+00,7.32769132e-01,-1.01910038e-02,-1.32796599e-03,7.00501027e-04,2.19091358e+01,1.04545355e+00,0.00000000e+00
+1.00000000e+00,7.34324217e-01,-1.85583252e-02,-3.20042542e-04,3.70760012e-04,2.19545898e+01,1.04545355e+00,0.00000000e+00
+4.00000000e+00,7.39006102e-01,0.00000000e+00,0.00000000e+00,8.42562318e-02,2.20000458e+01,1.04545355e+00,0.00000000e+00
+1.00000000e+00,7.39263535e-01,-4.96173510e-03,-6.89765764e-03,4.15059505e-04,2.15454998e+01,1.09090805e+00,0.00000000e+00
+1.00000000e+00,7.39441812e-01,-6.24293601e-03,-6.55602245e-03,4.21900360e-04,2.15909538e+01,1.09090805e+00,0.00000000e+00
+1.00000000e+00,7.39840329e-01,-7.32721388e-03,-5.71316574e-03,4.29598644e-04,2.16364098e+01,1.09090805e+00,0.00000000e+00
+1.00000000e+00,7.40396976e-01,-8.40024743e-03,-5.05418750e-03,4.26711282e-04,2.16818638e+01,1.09090805e+00,0.00000000e+00
+1.00000000e+00,7.41149366e-01,-9.42466501e-03,-4.41594655e-03,4.37903858e-04,2.17273178e+01,1.09090805e+00,0.00000000e+00
+1.00000000e+00,7.42008269e-01,-1.04864314e-02,-3.58380796e-03,4.28219297e-04,2.17727718e+01,1.09090805e+00,0.00000000e+00
+1.00000000e+00,7.43089318e-01,-1.12684919e-02,-2.75867153e-03,4.62616270e-04,2.18182278e+01,1.09090805e+00,0.00000000e+00
+1.00000000e+00,7.44258881e-01,-1.27874548e-02,-2.09675427e-03,3.90839035e-04,2.18636818e+01,1.09090805e+00,0.00000000e+00
+1.00000000e+00,7.45656192e-01,-1.15212286e-02,-1.33957388e-03,6.60501013e-04,2.19091358e+01,1.09090805e+00,0.00000000e+00
+1.00000000e+00,7.47245312e-01,-2.03800108e-02,-1.74762608e-04,4.74715227e-04,2.19545898e+01,1.09090805e+00,0.00000000e+00
+4.00000000e+00,7.52029896e-01,0.00000000e+00,0.00000000e+00,8.59554410e-02,2.20000458e+01,1.09090805e+00,0.00000000e+00
+1.00000000e+00,7.51410365e-01,-5.07194409e-03,-6.72585424e-03,3.68367269e-04,2.15454998e+01,1.13636255e+00,0.00000000e+00
+1.00000000e+00,7.51550376e-01,-6.47016801e-03,-6.54788176e-03,3.69711110e-04,2.15909538e+01,1.13636255e+00,0.00000000e+00
+1.00000000e+00,7.51846850e-01,-7.72791449e-03,-5.78997983e-03,3.77901219e-04,2.16364098e+01,1.13636255e+00,0.00000000e+00
+1.00000000e+00,7.52287924e-01,-8.99065658e-03,-5.11064706e-03,3.75738455e-04,2.16818638e+01,1.13636255e+00,0.00000000e+00
+1.00000000e+00,7.52949536e-01,-1.01625342e-02,-4.38026665e-03,3.86517670e-04,2.17273178e+01,1.13636255e+00,0.00000000e+00
+1.00000000e+00,7.53748834e-01,-1.14175724e-02,-3.62246018e-03,3.79536301e-04,2.17727718e+01,1.13636255e+00,0.00000000e+00
+1.00000000e+00,7.54760265e-01,-1.23432670e-02,-2.82992143e-03,4.12900554e-04,2.18182278e+01,1.13636255e+00,0.00000000e+00
+1.00000000e+00,7.55880415e-01,-1.40568111e-02,-2.01717066e-03,3.35007353e-04,2.18636818e+01,1.13636255e+00,0.00000000e+00
+1.00000000e+00,7.57240474e-01,-1.27737829e-02,-1.27161143e-03,6.28738315e-04,2.19091358e+01,1.13636255e+00,0.00000000e+00
+1.00000000e+00,7.58831799e-01,-2.22168509e-02,-1.89618324e-04,5.74867998e-04,2.19545898e+01,1.13636255e+00,0.00000000e+00
+4.00000000e+00,7.63686836e-01,0.00000000e+00,0.00000000e+00,8.74904171e-02,2.20000458e+01,1.13636255e+00,0.00000000e+00
+1.00000000e+00,7.62472332e-01,-5.10406215e-03,-6.72067190e-03,3.28117429e-04,2.15454998e+01,1.18181705e+00,0.00000000e+00
+1.00000000e+00,7.62540042e-01,-6.66466495e-03,-6.49136258e-03,3.29593895e-04,2.15909538e+01,1.18181705e+00,0.00000000e+00
+1.00000000e+00,7.62746811e-01,-8.08599405e-03,-5.90535905e-03,3.34848271e-04,2.16364098e+01,1.18181705e+00,0.00000000e+00
+1.00000000e+00,7.63111889e-01,-9.54793021e-03,-5.15305810e-03,3.31045303e-04,2.16818638e+01,1.18181705e+00,0.00000000e+00
+1.00000000e+00,7.63680816e-01,-1.08706309e-02,-4.32097260e-03,3.41166742e-04,2.17273178e+01,1.18181705e+00,0.00000000e+00
+1.00000000e+00,7.64396250e-01,-1.23260571e-02,-3.64906644e-03,3.36410361e-04,2.17727718e+01,1.18181705e+00,0.00000000e+00
+1.00000000e+00,7.65329123e-01,-1.33984238e-02,-2.88331229e-03,3.68737470e-04,2.18182278e+01,1.18181705e+00,0.00000000e+00
+1.00000000e+00,7.66394794e-01,-1.53314704e-02,-1.97008508e-03,2.86777911e-04,2.18636818e+01,1.18181705e+00,0.00000000e+00
+1.00000000e+00,7.67710924e-01,-1.40228365e-02,-1.22296193e-03,6.04932138e-04,2.19091358e+01,1.18181705e+00,0.00000000e+00
+1.00000000e+00,7.69281209e-01,-2.41002664e-02,-1.43772122e-04,6.73279748e-04,2.19545898e+01,1.18181705e+00,0.00000000e+00
+4.00000000e+00,7.74177253e-01,0.00000000e+00,0.00000000e+00,8.88898000e-02,2.20000458e+01,1.18181705e+00,0.00000000e+00
+1.00000000e+00,7.72627890e-01,-5.06533496e-03,-7.03563541e-03,2.97084713e-04,2.15454998e+01,1.22727156e+00,0.00000000e+00
+1.00000000e+00,7.72593856e-01,-6.73164148e-03,-6.54344819e-03,2.90710363e-04,2.15909538e+01,1.22727156e+00,0.00000000e+00
+1.00000000e+00,7.72713721e-01,-8.28194711e-03,-5.87737095e-03,2.94768513e-04,2.16364098e+01,1.22727156e+00,0.00000000e+00
+1.00000000e+00,7.72983849e-01,-9.94749460e-03,-5.17074158e-03,2.92865850e-04,2.16818638e+01,1.22727156e+00,0.00000000e+00
+1.00000000e+00,7.73476183e-01,-1.14560155e-02,-4.41348040e-03,3.02125292e-04,2.17273178e+01,1.22727156e+00,0.00000000e+00
+1.00000000e+00,7.74122238e-01,-1.30892955e-02,-3.64185730e-03,2.96376820e-04,2.17727718e+01,1.22727156e+00,0.00000000e+00
+1.00000000e+00,7.74974763e-01,-1.43156433e-02,-2.82038632e-03,3.30702111e-04,2.18182278e+01,1.22727156e+00,0.00000000e+00
+1.00000000e+00,7.75973439e-01,-1.65058542e-02,-2.00744765e-03,2.42956041e-04,2.18636818e+01,1.22727156e+00,0.00000000e+00
+1.00000000e+00,7.77232111e-01,-1.51683493e-02,-1.23718719e-03,5.85348171e-04,2.19091358e+01,1.22727156e+00,0.00000000e+00
+1.00000000e+00,7.78781950e-01,-2.59102490e-02,-2.56543626e-05,7.69452308e-04,2.19545898e+01,1.22727156e+00,0.00000000e+00
+4.00000000e+00,7.83691406e-01,0.00000000e+00,0.00000000e+00,9.01703462e-02,2.20000458e+01,1.22727156e+00,0.00000000e+00
+1.00000000e+00,7.82025933e-01,-4.91820555e-03,-7.36428984e-03,2.70662335e-04,2.15454998e+01,1.27272606e+00,0.00000000e+00
+1.00000000e+00,7.81869709e-01,-6.76798122e-03,-6.62518712e-03,2.62196409e-04,2.15909538e+01,1.27272606e+00,0.00000000e+00
+1.00000000e+00,7.81919062e-01,-8.48582666e-03,-5.84500749e-03,2.64616159e-04,2.16364098e+01,1.27272606e+00,0.00000000e+00
+1.00000000e+00,7.82119930e-01,-1.03252567e-02,-5.17751463e-03,2.61141337e-04,2.16818638e+01,1.27272606e+00,0.00000000e+00
+1.00000000e+00,7.82514155e-01,-1.20275533e-02,-4.47051274e-03,2.70611257e-04,2.17273178e+01,1.27272606e+00,0.00000000e+00
+1.00000000e+00,7.83079386e-01,-1.38458861e-02,-3.65923555e-03,2.64534785e-04,2.17727718e+01,1.27272606e+00,0.00000000e+00
+1.00000000e+00,7.83872664e-01,-1.52384723e-02,-2.80010561e-03,3.02033382e-04,2.18182278e+01,1.27272606e+00,0.00000000e+00
+1.00000000e+00,7.84811914e-01,-1.76744871e-02,-1.99401239e-03,2.05228993e-04,2.18636818e+01,1.27272606e+00,0.00000000e+00
+1.00000000e+00,7.86003470e-01,-1.62948892e-02,-1.22098904e-03,5.75526850e-04,2.19091358e+01,1.27272606e+00,0.00000000e+00
+1.00000000e+00,7.87511528e-01,-2.77792178e-02,3.62924584e-05,8.62811634e-04,2.19545898e+01,1.27272606e+00,0.00000000e+00
+4.00000000e+00,7.92435825e-01,0.00000000e+00,0.00000000e+00,9.13628340e-02,2.20000458e+01,1.27272606e+00,0.00000000e+00
+1.00000000e+00,7.90862381e-01,-4.60468093e-03,-7.39528658e-03,2.46621872e-04,2.15454998e+01,1.31818044e+00,0.00000000e+00
+1.00000000e+00,7.90577710e-01,-6.61364896e-03,-6.63075829e-03,2.35072279e-04,2.15909538e+01,1.31818044e+00,0.00000000e+00
+1.00000000e+00,7.90517211e-01,-8.54773354e-03,-5.94279123e-03,2.40121633e-04,2.16364098e+01,1.31818044e+00,0.00000000e+00
+1.00000000e+00,7.90630102e-01,-1.05386609e-02,-5.25520183e-03,2.32243445e-04,2.16818638e+01,1.31818044e+00,0.00000000e+00
+1.00000000e+00,7.90960491e-01,-1.24427602e-02,-4.43046726e-03,2.43205053e-04,2.17273178e+01,1.31818044e+00,0.00000000e+00
+1.00000000e+00,7.91467965e-01,-1.44605916e-02,-3.66860023e-03,2.37571119e-04,2.17727718e+01,1.31818044e+00,0.00000000e+00
+1.00000000e+00,7.92183995e-01,-1.60285588e-02,-2.86117080e-03,2.77477782e-04,2.18182278e+01,1.31818044e+00,0.00000000e+00
+1.00000000e+00,7.93061614e-01,-1.87089425e-02,-1.95921585e-03,1.71771637e-04,2.18636818e+01,1.31818044e+00,0.00000000e+00
+1.00000000e+00,7.94203937e-01,-1.72913540e-02,-1.17795030e-03,5.72498539e-04,2.19091358e+01,1.31818044e+00,0.00000000e+00
+1.00000000e+00,7.95666575e-01,-2.95458063e-02,5.61027227e-05,9.52488685e-04,2.19545898e+01,1.31818044e+00,0.00000000e+00
+4.00000000e+00,8.00569534e-01,0.00000000e+00,0.00000000e+00,9.24782902e-02,2.20000458e+01,1.31818044e+00,0.00000000e+00
+1.00000000e+00,7.99174547e-01,-4.19330830e-03,-7.29682529e-03,2.25931813e-04,2.15454998e+01,1.36363494e+00,0.00000000e+00
+1.00000000e+00,7.98794210e-01,-6.43284526e-03,-6.71458989e-03,2.13720428e-04,2.15909538e+01,1.36363494e+00,0.00000000e+00
+1.00000000e+00,7.98650444e-01,-8.60514585e-03,-6.01171702e-03,2.20536880e-04,2.16364098e+01,1.36363494e+00,0.00000000e+00
+1.00000000e+00,7.98705041e-01,-1.07701309e-02,-5.26206102e-03,2.10955171e-04,2.16818638e+01,1.36363494e+00,0.00000000e+00
+1.00000000e+00,7.98966765e-01,-1.28819393e-02,-4.44728695e-03,2.22842311e-04,2.17273178e+01,1.36363494e+00,0.00000000e+00
+1.00000000e+00,7.99414217e-01,-1.50963198e-02,-3.69284488e-03,2.17502384e-04,2.17727718e+01,1.36363494e+00,0.00000000e+00
+1.00000000e+00,8.00076962e-01,-1.68317575e-02,-2.86338339e-03,2.59959517e-04,2.18182278e+01,1.36363494e+00,0.00000000e+00
+1.00000000e+00,8.00904989e-01,-1.97736863e-02,-1.94509909e-03,1.45314116e-04,2.18636818e+01,1.36363494e+00,0.00000000e+00
+1.00000000e+00,8.01994741e-01,-1.82932876e-02,-1.16874068e-03,5.79670188e-04,2.19091358e+01,1.36363494e+00,0.00000000e+00
+1.00000000e+00,8.03408921e-01,-3.13558355e-02,1.14924522e-04,1.04147149e-03,2.19545898e+01,1.36363494e+00,0.00000000e+00
+4.00000000e+00,8.08290660e-01,0.00000000e+00,0.00000000e+00,9.35498402e-02,2.20000458e+01,1.36363494e+00,0.00000000e+00
+1.00000000e+00,8.07033479e-01,-3.71490745e-03,-7.08457036e-03,2.05826713e-04,2.15454998e+01,1.40908945e+00,0.00000000e+00
+1.00000000e+00,8.06632698e-01,-6.10486325e-03,-6.78789197e-03,1.92750289e-04,2.15909538e+01,1.40908945e+00,0.00000000e+00
+1.00000000e+00,8.06421459e-01,-8.48254096e-03,-6.02507964e-03,2.00300972e-04,2.16364098e+01,1.40908945e+00,0.00000000e+00
+1.00000000e+00,8.06426048e-01,-1.08638247e-02,-5.27699850e-03,1.93847358e-04,2.16818638e+01,1.40908945e+00,0.00000000e+00
+1.00000000e+00,8.06651294e-01,-1.31837800e-02,-4.52081021e-03,2.03968564e-04,2.17273178e+01,1.40908945e+00,0.00000000e+00
+1.00000000e+00,8.07066619e-01,-1.55928675e-02,-3.69993364e-03,1.99370857e-04,2.17727718e+01,1.40908945e+00,0.00000000e+00
+1.00000000e+00,8.07684422e-01,-1.74922850e-02,-2.83221062e-03,2.45740463e-04,2.18182278e+01,1.40908945e+00,0.00000000e+00
+1.00000000e+00,8.08465302e-01,-2.07089335e-02,-1.96317956e-03,1.26963685e-04,2.18636818e+01,1.40908945e+00,0.00000000e+00
+1.00000000e+00,8.09509337e-01,-1.91587061e-02,-1.18399842e-03,5.90032083e-04,2.19091358e+01,1.40908945e+00,0.00000000e+00
+1.00000000e+00,8.10888052e-01,-3.30376811e-02,1.77808222e-04,1.12807110e-03,2.19545898e+01,1.40908945e+00,0.00000000e+00
+4.00000000e+00,8.15730393e-01,0.00000000e+00,0.00000000e+00,9.45838988e-02,2.20000458e+01,1.40908945e+00,0.00000000e+00
+1.00000000e+00,8.14534187e-01,-3.22466693e-03,-6.90477807e-03,1.87520636e-04,2.15454998e+01,1.45454395e+00,0.00000000e+00
+1.00000000e+00,8.14157069e-01,-5.84620051e-03,-6.79909950e-03,1.79368944e-04,2.15909538e+01,1.45454395e+00,0.00000000e+00
+1.00000000e+00,8.13937843e-01,-8.42817780e-03,-6.04645722e-03,1.84430333e-04,2.16364098e+01,1.45454395e+00,0.00000000e+00
+1.00000000e+00,8.13938141e-01,-1.10352198e-02,-5.30038355e-03,1.82372591e-04,2.16818638e+01,1.45454395e+00,0.00000000e+00
+1.00000000e+00,8.14132690e-01,-1.35425264e-02,-4.51995712e-03,1.90159597e-04,2.17273178e+01,1.45454395e+00,0.00000000e+00
+1.00000000e+00,8.14516723e-01,-1.61585193e-02,-3.71323107e-03,1.88437320e-04,2.17727718e+01,1.45454395e+00,0.00000000e+00
+1.00000000e+00,8.15109432e-01,-1.82160381e-02,-2.85869907e-03,2.37237182e-04,2.18182278e+01,1.45454395e+00,0.00000000e+00
+1.00000000e+00,8.15866351e-01,-2.17006169e-02,-1.95011753e-03,1.19145625e-04,2.18636818e+01,1.45454395e+00,0.00000000e+00
+1.00000000e+00,8.16880107e-01,-2.00497266e-02,-1.16528093e-03,6.08655508e-04,2.19091358e+01,1.45454395e+00,0.00000000e+00
+1.00000000e+00,8.18215549e-01,-3.47944386e-02,2.08464800e-04,1.21406408e-03,2.19545898e+01,1.45454395e+00,0.00000000e+00
+4.00000000e+00,8.23018253e-01,0.00000000e+00,0.00000000e+00,9.56062749e-02,2.20000458e+01,1.45454395e+00,0.00000000e+00
+1.00000000e+00,8.21769595e-01,-2.78948364e-03,-6.96856575e-03,1.72113578e-04,2.15454998e+01,1.49999845e+00,0.00000000e+00
+1.00000000e+00,8.21441889e-01,-5.49926562e-03,-6.75154058e-03,1.63758974e-04,2.15909538e+01,1.49999845e+00,0.00000000e+00
+1.00000000e+00,8.21255326e-01,-8.27673078e-03,-6.04929170e-03,1.66299345e-04,2.16364098e+01,1.49999845e+00,0.00000000e+00
+1.00000000e+00,8.21258903e-01,-1.10857952e-02,-5.34739858e-03,1.69369800e-04,2.16818638e+01,1.49999845e+00,0.00000000e+00
+1.00000000e+00,8.21460307e-01,-1.37789715e-02,-4.52052383e-03,1.76631773e-04,2.17273178e+01,1.49999845e+00,0.00000000e+00
+1.00000000e+00,8.21856678e-01,-1.66078880e-02,-3.72569892e-03,1.78761547e-04,2.17727718e+01,1.49999845e+00,0.00000000e+00
+1.00000000e+00,8.22440088e-01,-1.88146736e-02,-2.88541592e-03,2.28677876e-04,2.18182278e+01,1.49999845e+00,0.00000000e+00
+1.00000000e+00,8.23179483e-01,-2.25688796e-02,-1.93997542e-03,1.10957568e-04,2.18636818e+01,1.49999845e+00,0.00000000e+00
+1.00000000e+00,8.24177802e-01,-2.08154656e-02,-1.15849101e-03,6.29095535e-04,2.19091358e+01,1.49999845e+00,0.00000000e+00
+1.00000000e+00,8.25487256e-01,-3.64084542e-02,2.23476934e-04,1.29886460e-03,2.19545898e+01,1.49999845e+00,0.00000000e+00
+4.00000000e+00,8.30235839e-01,0.00000000e+00,0.00000000e+00,9.66155902e-02,2.20000458e+01,1.49999845e+00,0.00000000e+00
+1.00000000e+00,8.28816473e-01,-2.40505370e-03,-7.09701236e-03,1.58826922e-04,2.15454998e+01,1.54545295e+00,0.00000000e+00
+1.00000000e+00,8.28548193e-01,-5.32622403e-03,-6.75556948e-03,1.55949019e-04,2.15909538e+01,1.54545295e+00,0.00000000e+00
+1.00000000e+00,8.28424633e-01,-8.27903207e-03,-6.05794881e-03,1.55552640e-04,2.16364098e+01,1.54545295e+00,0.00000000e+00
+1.00000000e+00,8.28481913e-01,-1.12732071e-02,-5.34276571e-03,1.64279569e-04,2.16818638e+01,1.54545295e+00,0.00000000e+00
+1.00000000e+00,8.28718364e-01,-1.41583467e-02,-4.53696446e-03,1.68578466e-04,2.17273178e+01,1.54545295e+00,0.00000000e+00
+1.00000000e+00,8.29135597e-01,-1.71808898e-02,-3.74161336e-03,1.74405111e-04,2.17727718e+01,1.54545295e+00,0.00000000e+00
+1.00000000e+00,8.29737127e-01,-1.95193794e-02,-2.87724892e-03,2.25888391e-04,2.18182278e+01,1.54545295e+00,0.00000000e+00
+1.00000000e+00,8.30484748e-01,-2.35428866e-02,-1.94609398e-03,1.06185784e-04,2.18636818e+01,1.54545295e+00,0.00000000e+00
+1.00000000e+00,8.31480086e-01,-2.16504149e-02,-1.16509805e-03,6.56218268e-04,2.19091358e+01,1.54545295e+00,0.00000000e+00
+1.00000000e+00,8.32769036e-01,-3.81168537e-02,2.66008457e-04,1.38485141e-03,2.19545898e+01,1.54545295e+00,0.00000000e+00
+4.00000000e+00,8.37469220e-01,0.00000000e+00,0.00000000e+00,9.76352170e-02,2.20000458e+01,1.54545295e+00,0.00000000e+00
+1.00000000e+00,8.35780084e-01,-2.08113110e-03,-7.18922494e-03,1.48034072e-04,2.15454998e+01,1.59090745e+00,0.00000000e+00
+1.00000000e+00,8.35569024e-01,-5.09543158e-03,-6.78896671e-03,1.46097096e-04,2.15909538e+01,1.59090745e+00,0.00000000e+00
+1.00000000e+00,8.35514486e-01,-8.22351687e-03,-6.07513776e-03,1.41679833e-04,2.16364098e+01,1.59090745e+00,0.00000000e+00
+1.00000000e+00,8.35640192e-01,-1.13950903e-02,-5.34264930e-03,1.59911899e-04,2.16818638e+01,1.59090745e+00,0.00000000e+00
+1.00000000e+00,8.35947752e-01,-1.44607341e-02,-4.55549220e-03,1.59817107e-04,2.17273178e+01,1.59090745e+00,0.00000000e+00
+1.00000000e+00,8.36417139e-01,-1.76588334e-02,-3.75580625e-03,1.69959269e-04,2.17727718e+01,1.59090745e+00,0.00000000e+00
+1.00000000e+00,8.37052882e-01,-2.01306511e-02,-2.87527801e-03,2.22841918e-04,2.18182278e+01,1.59090745e+00,0.00000000e+00
+1.00000000e+00,8.37822735e-01,-2.44120210e-02,-1.95878837e-03,1.00499492e-04,2.18636818e+01,1.59090745e+00,0.00000000e+00
+1.00000000e+00,8.38831186e-01,-2.23728437e-02,-1.17331976e-03,6.82666898e-04,2.19091358e+01,1.59090745e+00,0.00000000e+00
+1.00000000e+00,8.40113103e-01,-3.96832600e-02,2.91045144e-04,1.46937778e-03,2.19545898e+01,1.59090745e+00,0.00000000e+00
+4.00000000e+00,8.44748557e-01,0.00000000e+00,0.00000000e+00,9.86553133e-02,2.20000458e+01,1.59090745e+00,0.00000000e+00
+1.00000000e+00,8.42667043e-01,-1.84243824e-03,-7.22223939e-03,1.37371855e-04,2.15454998e+01,1.63636196e+00,0.00000000e+00
+1.00000000e+00,8.42544436e-01,-5.07663842e-03,-6.80761877e-03,1.45144484e-04,2.15909538e+01,1.63636196e+00,0.00000000e+00
+1.00000000e+00,8.42600167e-01,-8.39004572e-03,-6.05977653e-03,1.35259019e-04,2.16364098e+01,1.63636196e+00,0.00000000e+00
+1.00000000e+00,8.42822552e-01,-1.17226681e-02,-5.34894969e-03,1.61283169e-04,2.16818638e+01,1.63636196e+00,0.00000000e+00
+1.00000000e+00,8.43200088e-01,-1.49601633e-02,-4.55173571e-03,1.56384907e-04,2.17273178e+01,1.63636196e+00,0.00000000e+00
+1.00000000e+00,8.43732357e-01,-1.83192696e-02,-3.76818632e-03,1.71713487e-04,2.17727718e+01,1.63636196e+00,0.00000000e+00
+1.00000000e+00,8.44428599e-01,-2.09068470e-02,-2.88793514e-03,2.24176547e-04,2.18182278e+01,1.63636196e+00,0.00000000e+00
+1.00000000e+00,8.45238507e-01,-2.54263766e-02,-1.95094140e-03,9.75232033e-05,2.18636818e+01,1.63636196e+00,0.00000000e+00
+1.00000000e+00,8.46268833e-01,-2.31991168e-02,-1.16940029e-03,7.15662085e-04,2.19091358e+01,1.63636196e+00,0.00000000e+00
+1.00000000e+00,8.47544730e-01,-4.13738973e-02,2.99561303e-04,1.55512290e-03,2.19545898e+01,1.63636196e+00,0.00000000e+00
+4.00000000e+00,8.52122962e-01,0.00000000e+00,0.00000000e+00,9.96959284e-02,2.20000458e+01,1.63636196e+00,0.00000000e+00
+1.00000000e+00,8.49525988e-01,-1.70072343e-03,-7.09579978e-03,1.24775092e-04,2.15454998e+01,1.68181646e+00,0.00000000e+00
+1.00000000e+00,8.49530697e-01,-5.01647685e-03,-6.77524274e-03,1.38266536e-04,2.15909538e+01,1.68181646e+00,0.00000000e+00
+1.00000000e+00,8.49692881e-01,-8.52976274e-03,-6.05250103e-03,1.27603766e-04,2.16364098e+01,1.68181646e+00,0.00000000e+00
+1.00000000e+00,8.50008786e-01,-1.20034060e-02,-5.38930716e-03,1.60462441e-04,2.1